【quartusII运行报错(1)Error(10500):-查字典问答网
分类选择

来自李风亭的问题

  【quartusII运行报错(1)Error(10500):VHDLsyntaxerroratvga.vhd(2)neartext"use";expecting"程序如下LIBRARYIEEEuseIEEE.std_logic_1164.all;useIEEE.STD_LOGIC_UNSIGINED.ALL;entityvga640480isx05port(x05clkx05:inSTD_LOGIC;x05x05】

  quartusII运行报错(1)Error(10500):VHDLsyntaxerroratvga.vhd(2)neartext"use";expecting"

  程序如下

  LIBRARYIEEE

  useIEEE.std_logic_1164.all;

  useIEEE.STD_LOGIC_UNSIGINED.ALL;

  entityvga640480is

  x05port(x05clkx05:inSTD_LOGIC;

  x05x05hs,x05vs,x05r,g,bx05:outSTD_LOGIC;

  x05x05rgbin:instd_logic_vector(2downto0);

  x05x05hcntout,vcntoutx05:outstd_logic_vector(9downto0)x05);

  endvga640480;

  architectureONEofvga640480is

  signalhcnt,vcntx05:std_logic_vector(9downto0);

  begin

  hcntout

1回答
2020-06-05 11:34
我要回答
请先登录
山根聪

  LIBRARYIEEE后面要加“;”,STD_LOGIC_UNSIGINED要小写,就OK了.希望对你有所帮助.

2020-06-05 11:39:53

最新问答

推荐文章

猜你喜欢

附近的人在看

推荐阅读

拓展阅读

  • 大家都在看
  • 小编推荐
  • 猜你喜欢
  •